aboutsummaryrefslogtreecommitdiffstats
path: root/README.md
diff options
context:
space:
mode:
authorDavid Shah <davey1576@gmail.com>2018-08-01 10:51:32 +0200
committerDavid Shah <davey1576@gmail.com>2018-08-01 10:51:32 +0200
commitb273475e5118376ae72abee8d1e1d70ac4a4d672 (patch)
tree66d1010e3f19dbaaea58ac80c2019a026aed5d23 /README.md
parentcceda82d40cb3d3a536449b2fa5870a8e355f21b (diff)
downloadnextpnr-b273475e5118376ae72abee8d1e1d70ac4a4d672.tar.gz
nextpnr-b273475e5118376ae72abee8d1e1d70ac4a4d672.tar.bz2
nextpnr-b273475e5118376ae72abee8d1e1d70ac4a4d672.zip
More documentation tweaks
Signed-off-by: David Shah <davey1576@gmail.com>
Diffstat (limited to 'README.md')
-rw-r--r--README.md4
1 files changed, 2 insertions, 2 deletions
diff --git a/README.md b/README.md
index b9cbb24c..f9658677 100644
--- a/README.md
+++ b/README.md
@@ -89,7 +89,7 @@ make -j$(nproc)
sudo make install
```
- - For an ECP5 blinky, first synthesise using `yosys blinky.ys` in `ecp5/synth`.
+ - For an ECP5 blinky on the 45k ULX3S board, first synthesise using `yosys blinky.ys` in `ecp5/synth`.
- Then run ECP5 place-and route using `./nextpnr-ecp5 --json ecp5/synth/blinky.json --basecfg ecp5/synth/ulx3s_empty.config --bit ecp5/synth/ulx3s.bit`
- Note that `ulx3s_empty.config` contains fixed/unknown bits to be copied to the output bitstream
- You can also use `--textcfg out.config` to write a text file describing the bitstream for debugging
@@ -101,7 +101,7 @@ sudo make install
### nextpnr-generic
-The generic target allows to run place and route for an arbitrary custom architecture.
+The generic target allows running placement and routing for arbitrary custom architectures.
```
cmake -DARCH=generic .