aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-06-24 11:43:26 +0100
committerGitHub <noreply@github.com>2019-06-24 11:43:26 +0100
commit138d7308dc113134d056aeee4445f93cd5000e62 (patch)
tree790bbb7ffd450353c06a8500d3aa3daa7eb2cd9a
parentfa77a5ae4a714e738b65186eb73c7650c3447163 (diff)
parentbfe4c50d8890bf8d8b65bb6f1fc894596721cd73 (diff)
downloadnextpnr-138d7308dc113134d056aeee4445f93cd5000e62.tar.gz
nextpnr-138d7308dc113134d056aeee4445f93cd5000e62.tar.bz2
nextpnr-138d7308dc113134d056aeee4445f93cd5000e62.zip
Merge pull request #276 from YosysHQ/add-link
Add link to arXiv paper
-rw-r--r--README.md3
1 files changed, 3 insertions, 0 deletions
diff --git a/README.md b/README.md
index b2144ce5..dc57c6bd 100644
--- a/README.md
+++ b/README.md
@@ -14,6 +14,9 @@ We hope to see Xilinx 7 Series thanks to
supported in the future. We would love your help in developing this
awesome new project!
+A brief (academic) paper describing the Yosys+nextpnr flow can be found
+on [arXiv](https://arxiv.org/abs/1903.10407).
+
Here is a screenshot of nextpnr for iCE40. Build instructions and
[getting started notes](#getting-started) can be found below.