aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/site_router_tests/lut/lut.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/site_router_tests/lut/lut.xdc')
-rw-r--r--fpga_interchange/site_router_tests/lut/lut.xdc32
1 files changed, 32 insertions, 0 deletions
diff --git a/fpga_interchange/site_router_tests/lut/lut.xdc b/fpga_interchange/site_router_tests/lut/lut.xdc
new file mode 100644
index 0000000..426b3a9
--- /dev/null
+++ b/fpga_interchange/site_router_tests/lut/lut.xdc
@@ -0,0 +1,32 @@
+## arty-35t board
+set_property PACKAGE_PIN G13 [get_ports lut_1_in[0]]
+set_property PACKAGE_PIN B11 [get_ports lut_1_in[1]]
+set_property PACKAGE_PIN A11 [get_ports lut_1_in[2]]
+set_property PACKAGE_PIN D12 [get_ports lut_1_in[3]]
+set_property PACKAGE_PIN D13 [get_ports lut_1_in[4]]
+set_property PACKAGE_PIN B18 [get_ports lut_1_in[5]]
+
+set_property PACKAGE_PIN E15 [get_ports lut_2_in[0]]
+set_property PACKAGE_PIN E16 [get_ports lut_2_in[1]]
+set_property PACKAGE_PIN D15 [get_ports lut_2_in[2]]
+set_property PACKAGE_PIN C15 [get_ports lut_2_in[3]]
+set_property PACKAGE_PIN J17 [get_ports lut_2_in[4]]
+
+set_property PACKAGE_PIN H5 [get_ports lut_1_out]
+set_property PACKAGE_PIN J5 [get_ports lut_2_out]
+
+set_property IOSTANDARD LVCMOS33 [get_ports lut_1_in[0]]
+set_property IOSTANDARD LVCMOS33 [get_ports lut_1_in[1]]
+set_property IOSTANDARD LVCMOS33 [get_ports lut_1_in[2]]
+set_property IOSTANDARD LVCMOS33 [get_ports lut_1_in[3]]
+set_property IOSTANDARD LVCMOS33 [get_ports lut_1_in[4]]
+set_property IOSTANDARD LVCMOS33 [get_ports lut_1_in[5]]
+
+set_property IOSTANDARD LVCMOS33 [get_ports lut_2_in[0]]
+set_property IOSTANDARD LVCMOS33 [get_ports lut_2_in[1]]
+set_property IOSTANDARD LVCMOS33 [get_ports lut_2_in[2]]
+set_property IOSTANDARD LVCMOS33 [get_ports lut_2_in[3]]
+set_property IOSTANDARD LVCMOS33 [get_ports lut_2_in[4]]
+
+set_property IOSTANDARD LVCMOS33 [get_ports lut_1_out]
+set_property IOSTANDARD LVCMOS33 [get_ports lut_2_out]