aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz/tests
diff options
context:
space:
mode:
authorLarry Doolittle <ldoolitt@recycle.lbl.gov>2017-07-31 17:01:55 -0700
committerClifford Wolf <clifford@clifford.at>2017-08-01 14:43:15 +0200
commitb3d35ccadc745851514abc7d7aa26f41532ac914 (patch)
treef40f1f87157ec0c917dfea14319fc15db0b1757c /icefuzz/tests
parent607ee66cc1bdd37f8fc213b4b227cfebcd1d2c27 (diff)
downloadicestorm-b3d35ccadc745851514abc7d7aa26f41532ac914.tar.gz
icestorm-b3d35ccadc745851514abc7d7aa26f41532ac914.tar.bz2
icestorm-b3d35ccadc745851514abc7d7aa26f41532ac914.zip
Squelch trailing whitespace
Diffstat (limited to 'icefuzz/tests')
-rw-r--r--icefuzz/tests/example_icestick.v2
-rw-r--r--icefuzz/tests/ioctrl_384.py2
-rw-r--r--icefuzz/tests/sb_ram40.v4
3 files changed, 4 insertions, 4 deletions
diff --git a/icefuzz/tests/example_icestick.v b/icefuzz/tests/example_icestick.v
index 4635550..80924b6 100644
--- a/icefuzz/tests/example_icestick.v
+++ b/icefuzz/tests/example_icestick.v
@@ -24,6 +24,6 @@ module top (
always@(posedge clk)
counter <= counter + 1;
-
+
assign {LED1, LED2, LED3, LED4, LED5} = bin2gray(counter >> LOG2DELAY);
endmodule
diff --git a/icefuzz/tests/ioctrl_384.py b/icefuzz/tests/ioctrl_384.py
index ec8ddb8..e4c0739 100644
--- a/icefuzz/tests/ioctrl_384.py
+++ b/icefuzz/tests/ioctrl_384.py
@@ -19,7 +19,7 @@ for line in fileinput.input():
if line[0] == "IOB_1":
iob = (current_tile[0], current_tile[1], 1)
-if ren is None:
+if ren is None:
print("(%2d, %2d, %2d, ? , ? , ? )," % (iob[0], iob[1], iob[2]))
else:
print("(%2d, %2d, %2d, %2d, %2d, %2d)," % (iob[0], iob[1], iob[2], ren[0], ren[1], ren[2]))
diff --git a/icefuzz/tests/sb_ram40.v b/icefuzz/tests/sb_ram40.v
index 3516593..21fd781 100644
--- a/icefuzz/tests/sb_ram40.v
+++ b/icefuzz/tests/sb_ram40.v
@@ -1,6 +1,6 @@
// ICEDEV=hx8k-ct256 bash ../icecube.sh sb_ram40.v
-// ../../icebox/icebox_vlog.py -P sb_ram40.psb sb_ram40.txt
-// ../../icebox/icebox_explain.py -t '7 21' sb_ram40.txt
+// ../../icebox/icebox_vlog.py -P sb_ram40.psb sb_ram40.txt
+// ../../icebox/icebox_explain.py -t '7 21' sb_ram40.txt
module top (
input [10:0] WADDR,