aboutsummaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorLarry Doolittle <ldoolitt@recycle.lbl.gov>2017-07-31 17:01:55 -0700
committerClifford Wolf <clifford@clifford.at>2017-08-01 14:43:15 +0200
commitb3d35ccadc745851514abc7d7aa26f41532ac914 (patch)
treef40f1f87157ec0c917dfea14319fc15db0b1757c /examples
parent607ee66cc1bdd37f8fc213b4b227cfebcd1d2c27 (diff)
downloadicestorm-b3d35ccadc745851514abc7d7aa26f41532ac914.tar.gz
icestorm-b3d35ccadc745851514abc7d7aa26f41532ac914.tar.bz2
icestorm-b3d35ccadc745851514abc7d7aa26f41532ac914.zip
Squelch trailing whitespace
Diffstat (limited to 'examples')
-rw-r--r--examples/iceblink/README2
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/iceblink/README b/examples/iceblink/README
index d43a7ae..73846fa 100644
--- a/examples/iceblink/README
+++ b/examples/iceblink/README
@@ -5,6 +5,6 @@ Note, there are at least two similar looking versions of the iCEblink40 evaluati
This example assumes the iCEblink40-HX1K board.
The iCEblink40 boards have an on-board programmer with USB interface from Digilent.
-You need iCEburn to program the FPGA via this interface (or the original vendor
+You need iCEburn to program the FPGA via this interface (or the original vendor
tools).
https://github.com/davidcarne/iceBurn