diff options
author | Clifford Wolf <clifford@clifford.at> | 2018-02-06 18:12:44 +0100 |
---|---|---|
committer | Clifford Wolf <clifford@clifford.at> | 2018-02-06 18:12:44 +0100 |
commit | a7a6f3695f03173e2bae0b206b785597f0342ef0 (patch) | |
tree | 6bb24dc4ca49f5c34705ce0a42617dc972ea4a9e /examples/up5k_mac16/Makefile | |
parent | d3a753f7d747b007f464a831d6b2b21e87991e46 (diff) | |
download | icestorm-a7a6f3695f03173e2bae0b206b785597f0342ef0.tar.gz icestorm-a7a6f3695f03173e2bae0b206b785597f0342ef0.tar.bz2 icestorm-a7a6f3695f03173e2bae0b206b785597f0342ef0.zip |
Remove up5k_mac16 "example" and add README to up5k_rgb and icebreaker
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'examples/up5k_mac16/Makefile')
-rw-r--r-- | examples/up5k_mac16/Makefile | 36 |
1 files changed, 0 insertions, 36 deletions
diff --git a/examples/up5k_mac16/Makefile b/examples/up5k_mac16/Makefile deleted file mode 100644 index 06c9ed7..0000000 --- a/examples/up5k_mac16/Makefile +++ /dev/null @@ -1,36 +0,0 @@ -PROJ = mac16 -PIN_DEF = mac16.pcf -DEVICE = up5k - -ARACHNE = arachne-pnr -ARACHNE_ARGS = -ICEPACK = icepack -ICETIME = icetime -ICEPROG = iceprog - -all: $(PROJ).bin - -%.blif: %.v - yosys -p 'synth_ice40 -top top -blif $@' $< - -%.asc: $(PIN_DEF) %.blif - $(ARACHNE) $(ARACHNE_ARGS) -d $(subst up,,$(subst hx,,$(subst lp,,$(DEVICE)))) -o $@ -p $^ - -%.bin: %.asc - $(ICEPACK) $< $@ - -%.rpt: %.asc - $(ICETIME) -d $(DEVICE) -mtr $@ $< - -prog: $(PROJ).bin - $(ICEPROG) -S $< - -sudo-prog: $(PROJ).bin - @echo 'Executing prog as root!!!' - sudo $(ICEPROG) -S $< - -clean: - rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin - -.SECONDARY: -.PHONY: all prog clean |