aboutsummaryrefslogtreecommitdiffstats
path: root/examples/hx8kboard/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-02-01 23:32:03 +0100
committerClifford Wolf <clifford@clifford.at>2016-02-01 23:32:03 +0100
commit81c33a343ffce5eab897d0a5a7d228bfcb08aaf4 (patch)
treef1a95089b3c441b3895fc55612b5efc730b087bd /examples/hx8kboard/Makefile
parent8b6116523bdd12b9376b4ed023fee6c62bb7a714 (diff)
downloadicestorm-81c33a343ffce5eab897d0a5a7d228bfcb08aaf4.tar.gz
icestorm-81c33a343ffce5eab897d0a5a7d228bfcb08aaf4.tar.bz2
icestorm-81c33a343ffce5eab897d0a5a7d228bfcb08aaf4.zip
Timing models for LP and HX devices
Diffstat (limited to 'examples/hx8kboard/Makefile')
-rw-r--r--examples/hx8kboard/Makefile6
1 files changed, 3 insertions, 3 deletions
diff --git a/examples/hx8kboard/Makefile b/examples/hx8kboard/Makefile
index 0241807..bcecdf2 100644
--- a/examples/hx8kboard/Makefile
+++ b/examples/hx8kboard/Makefile
@@ -1,6 +1,6 @@
PROJ = example
PIN_DEF = hx8kboard.pcf
-DEVICE = 8k
+DEVICE = hx8k
all: $(PROJ).rpt $(PROJ).bin
@@ -8,13 +8,13 @@ all: $(PROJ).rpt $(PROJ).bin
yosys -p 'synth_ice40 -top top -blif $@' $<
%.asc: $(PIN_DEF) %.blif
- arachne-pnr -d $(DEVICE) -o $@ -p $^
+ arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^
%.bin: %.asc
icepack $< $@
%.rpt: %.asc
- icetime -mtr $@ $<
+ icetime -d $(DEVICE) -mtr $@ $<
prog: $(PROJ).bin
iceprog $<