aboutsummaryrefslogtreecommitdiffstats
path: root/docs
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-10-21 10:35:09 +0200
committerClifford Wolf <clifford@clifford.at>2015-10-21 10:35:09 +0200
commita0446c1dc0da4cf4b9d1779f41ac7f9aee380cb5 (patch)
tree33ae7e6b838d1d647297c6046b34b5a36c4f5013 /docs
parent0ff194fba3dfc44357db29df5f93787c9406853b (diff)
downloadicestorm-a0446c1dc0da4cf4b9d1779f41ac7f9aee380cb5.tar.gz
icestorm-a0446c1dc0da4cf4b9d1779f41ac7f9aee380cb5.tar.bz2
icestorm-a0446c1dc0da4cf4b9d1779f41ac7f9aee380cb5.zip
Added link to spanish fpga tutorial
Diffstat (limited to 'docs')
-rw-r--r--docs/index.html1
1 files changed, 1 insertions, 0 deletions
diff --git a/docs/index.html b/docs/index.html
index a400fef..54dc489 100644
--- a/docs/index.html
+++ b/docs/index.html
@@ -354,6 +354,7 @@ Links to related projects. Contact me at clifford@clifford.at if you have an int
<ul>
<li><a href="http://www.excamera.com/sphinx/article-j1a-swapforth.html">J1a SwapForth built with IceStorm</a>
<li><a href="https://hackaday.io/project/6636-iced-an-arduino-style-board-with-ice-fpga">ICEd = an Arduino Style Board, with ICE FPGA</a>
+<li><a href="https://github.com/Obijuan/open-fpga-verilog-tutorial/wiki">A Spanish FPGA Tutorial using IceStorm</a>
</ul>
<hr>