aboutsummaryrefslogtreecommitdiffstats
path: root/docs/iosp.svg
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-18 13:10:40 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-18 13:10:40 +0200
commit48154cb6f452d3bdb4da36cc267b4b6c45588dc9 (patch)
tree3ec3be9ef7e8db1fb7c764ed8202e0215a8eb7c7 /docs/iosp.svg
parent13e63e6b65e044e348356731b55610d02cb308b9 (diff)
downloadicestorm-48154cb6f452d3bdb4da36cc267b4b6c45588dc9.tar.gz
icestorm-48154cb6f452d3bdb4da36cc267b4b6c45588dc9.tar.bz2
icestorm-48154cb6f452d3bdb4da36cc267b4b6c45588dc9.zip
Imported full dev sources
Diffstat (limited to 'docs/iosp.svg')
-rw-r--r--docs/iosp.svg1394
1 files changed, 1394 insertions, 0 deletions
diff --git a/docs/iosp.svg b/docs/iosp.svg
new file mode 100644
index 0000000..e7b130f
--- /dev/null
+++ b/docs/iosp.svg
@@ -0,0 +1,1394 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+ xmlns:dc="http://purl.org/dc/elements/1.1/"
+ xmlns:cc="http://creativecommons.org/ns#"
+ xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
+ xmlns:svg="http://www.w3.org/2000/svg"
+ xmlns="http://www.w3.org/2000/svg"
+ xmlns:xlink="http://www.w3.org/1999/xlink"
+ xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+ xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+ width="686.50507"
+ height="501"
+ id="svg2"
+ version="1.1"
+ inkscape:version="0.48.4 r9939"
+ sodipodi:docname="iosp.svg">
+ <defs
+ id="defs4" />
+ <sodipodi:namedview
+ id="base"
+ pagecolor="#ffffff"
+ bordercolor="#666666"
+ borderopacity="1.0"
+ inkscape:pageopacity="0.0"
+ inkscape:pageshadow="2"
+ inkscape:zoom="1.4"
+ inkscape:cx="346.55567"
+ inkscape:cy="278.13463"
+ inkscape:document-units="px"
+ inkscape:current-layer="layer1"
+ showgrid="true"
+ inkscape:window-width="1855"
+ inkscape:window-height="1056"
+ inkscape:window-x="65"
+ inkscape:window-y="24"
+ inkscape:window-maximized="1"
+ fit-margin-top="0"
+ fit-margin-left="0"
+ fit-margin-right="0"
+ fit-margin-bottom="0">
+ <inkscape:grid
+ type="xygrid"
+ id="grid2985"
+ empspacing="5"
+ visible="true"
+ enabled="true"
+ snapvisiblegridlinesonly="true"
+ originx="-19.5px"
+ originy="-539.5px" />
+ </sodipodi:namedview>
+ <metadata
+ id="metadata7">
+ <rdf:RDF>
+ <cc:Work
+ rdf:about="">
+ <dc:format>image/svg+xml</dc:format>
+ <dc:type
+ rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
+ <dc:title />
+ </cc:Work>
+ </rdf:RDF>
+ </metadata>
+ <g
+ inkscape:label="Layer 1"
+ inkscape:groupmode="layer"
+ id="layer1"
+ transform="translate(-19.5,-11.862183)">
+ <rect
+ style="fill:#b3b3b3;fill-opacity:0;fill-rule:evenodd;stroke:#000000;stroke-width:1;stroke-linecap:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ id="rect2987"
+ width="670"
+ height="470"
+ x="20"
+ y="32.362183" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="M 75,12.362183 75,132.36218"
+ id="path2989"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <text
+ xml:space="preserve"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans"
+ x="-112.36218"
+ y="70"
+ id="text3759"
+ sodipodi:linespacing="125%"
+ transform="matrix(0,-1,1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan3761"
+ x="-112.36218"
+ y="70">span4_vert_t_0</tspan></text>
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3770"
+ d="m 100,12.362183 0,119.999997"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3772"
+ y="95"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="95"
+ x="-112.36218"
+ id="tspan3774"
+ sodipodi:role="line">span4_vert_t_1</tspan></text>
+ <g
+ transform="translate(50,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3792">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3824"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3826"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3828"
+ sodipodi:role="line">span4_vert_t_2</tspan></text>
+ </g>
+ <g
+ transform="translate(100,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3796">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3840"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3842"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3844"
+ sodipodi:role="line">span4_vert_t_4</tspan></text>
+ </g>
+ <g
+ transform="translate(125.00001,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3798">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3848"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3850"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3852"
+ sodipodi:role="line">span4_vert_t_5</tspan></text>
+ </g>
+ <g
+ transform="translate(150.00001,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3800">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3856"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3858"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3860"
+ sodipodi:role="line">span4_vert_t_6</tspan></text>
+ </g>
+ <g
+ transform="translate(175,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3802">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3864"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3866"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3868"
+ sodipodi:role="line">span4_vert_t_7</tspan></text>
+ </g>
+ <g
+ transform="translate(200.00001,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3804">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3872"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3874"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3876"
+ sodipodi:role="line">span4_vert_t_8</tspan></text>
+ </g>
+ <g
+ transform="translate(225,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3806">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3880"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3882"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3884"
+ sodipodi:role="line">span4_vert_t_9</tspan></text>
+ </g>
+ <g
+ transform="translate(250,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3808">
+ <g
+ transform="translate(75.000002,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3794">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3832"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3834"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3836"
+ sodipodi:role="line">span4_vert_t_13</tspan></text>
+ </g>
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3888"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3890"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3892"
+ sodipodi:role="line">span4_vert_t_10</tspan></text>
+ </g>
+ <g
+ transform="translate(275.00001,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3810">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3896"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3898"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3900"
+ sodipodi:role="line">span4_vert_t_11</tspan></text>
+ </g>
+ <g
+ transform="translate(300,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3812">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3904"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3906"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3908"
+ sodipodi:role="line">span4_vert_t_12</tspan></text>
+ </g>
+ <g
+ transform="translate(75,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3814">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3912"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3914"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b3b3b3;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ sodipodi:role="line"
+ id="tspan3306">span4_vert_t_3</tspan></text>
+ </g>
+ <g
+ transform="translate(349.99999,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3816">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3920"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3922"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3924"
+ sodipodi:role="line">span4_vert_t_14</tspan></text>
+ </g>
+ <g
+ transform="translate(375,0)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3818">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3928"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3930"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3932"
+ sodipodi:role="line">span4_vert_t_15</tspan></text>
+ </g>
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 75.000003,392.36218 0,120"
+ id="path2989-5"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <text
+ xml:space="preserve"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ x="-492.36218"
+ y="70"
+ id="text3759-0"
+ sodipodi:linespacing="125%"
+ transform="matrix(0,-1,1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan3761-5"
+ x="-492.36218"
+ y="70">span4_vert_b_0</tspan></text>
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3770-5"
+ d="m 100,392.36218 0,120"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3772-3"
+ y="95"
+ x="-492.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="95"
+ x="-492.36218"
+ id="tspan3774-0"
+ sodipodi:role="line">span4_vert_b_1</tspan></text>
+ <g
+ transform="translate(50.000003,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3792-6">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3824-8"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3826-3"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3828-9"
+ sodipodi:role="line">span4_vert_b_2</tspan></text>
+ </g>
+ <g
+ transform="translate(75.000003,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3794-4">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3832-9"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3834-3"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3836-2"
+ sodipodi:role="line">span4_vert_b_3</tspan></text>
+ </g>
+ <g
+ transform="translate(100,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3796-4">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3840-0"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3842-0"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3844-7"
+ sodipodi:role="line">span4_vert_b_4</tspan></text>
+ </g>
+ <g
+ transform="translate(125.00001,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3798-6">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3848-7"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3850-3"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3852-7"
+ sodipodi:role="line">span4_vert_b_5</tspan></text>
+ </g>
+ <g
+ transform="translate(150.00001,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3800-9">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3856-9"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3858-8"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3860-5"
+ sodipodi:role="line">span4_vert_b_6</tspan></text>
+ </g>
+ <g
+ transform="translate(175,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3802-4">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3864-9"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3866-3"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3868-8"
+ sodipodi:role="line">span4_vert_b_7</tspan></text>
+ </g>
+ <g
+ transform="translate(200.00001,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3804-1">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3872-0"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3874-8"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3876-8"
+ sodipodi:role="line">span4_vert_b_8</tspan></text>
+ </g>
+ <g
+ transform="translate(225,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3806-7">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3880-4"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3882-8"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3884-4"
+ sodipodi:role="line">span4_vert_b_9</tspan></text>
+ </g>
+ <g
+ transform="translate(250,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3808-2">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3888-1"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3890-5"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3892-8"
+ sodipodi:role="line">span4_vert_b_10</tspan></text>
+ </g>
+ <g
+ transform="translate(275.00001,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3810-2">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3896-8"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3898-0"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3900-9"
+ sodipodi:role="line">span4_vert_b_11</tspan></text>
+ </g>
+ <g
+ transform="translate(300,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3812-9">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3904-2"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3906-8"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3908-7"
+ sodipodi:role="line">span4_vert_b_12</tspan></text>
+ </g>
+ <g
+ transform="translate(325.00001,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3814-2">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3912-3"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3914-4"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3916-1"
+ sodipodi:role="line">span4_vert_b_13</tspan></text>
+ </g>
+ <g
+ transform="translate(349.99999,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3816-2">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3920-4"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3922-8"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3924-8"
+ sodipodi:role="line">span4_vert_b_14</tspan></text>
+ </g>
+ <g
+ transform="translate(375,380)"
+ inkscape:tile-y0="12.362183"
+ inkscape:tile-x0="63.635187"
+ id="use3818-3">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3928-4"
+ d="M 75,12.362183 75,132.36218"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3930-6"
+ y="70"
+ x="-112.36218"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="70"
+ x="-112.36218"
+ id="tspan3932-6"
+ sodipodi:role="line">span4_vert_b_15</tspan></text>
+ </g>
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 100.00076,132.37796 100,260"
+ id="path4149"
+ inkscape:connector-curvature="0"
+ inkscape:tile-cx="125"
+ inkscape:tile-cy="262.36218"
+ inkscape:tile-w="100"
+ inkscape:tile-h="260"
+ inkscape:tile-x0="75"
+ inkscape:tile-y0="132.36218" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#path4149"
+ xlink:href="#path4149"
+ id="use4199"
+ width="744.09448"
+ height="1052.3622"
+ transform="translate(-25.000763,0)" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#path4149"
+ xlink:href="#path4149"
+ transform="translate(50.001526,0)"
+ id="use4201"
+ width="744.09448"
+ height="1052.3622" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#path4149"
+ xlink:href="#path4149"
+ transform="translate(75.00229,0)"
+ id="use4203"
+ width="744.09448"
+ height="1052.3622" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#path4149"
+ xlink:href="#path4149"
+ transform="translate(100.00305,0)"
+ id="use4205"
+ width="744.09448"
+ height="1052.3622" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#path4149"
+ xlink:href="#path4149"
+ transform="translate(125.00382,0)"
+ id="use4207"
+ width="744.09448"
+ height="1052.3622" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#path4149"
+ xlink:href="#path4149"
+ transform="translate(150.0046,0)"
+ id="use4209"
+ width="744.09448"
+ height="1052.3622" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#path4149"
+ xlink:href="#path4149"
+ transform="translate(225.00686,0)"
+ id="use4211"
+ width="744.09448"
+ height="1052.3622" />
+ <use
+ x="0"
+ y="0"
+ inkscape:tiled-clone-of="#path4149"
+ xlink:href="#path4149"
+ transform="translate(250.00767,0)"
+ id="use4213"
+ width="744.09448"
+ height="1052.3622" />
+ <use
+ height="1052.3622"
+ width="744.09448"
+ id="use4215"
+ transform="translate(175.00534,0)"
+ xlink:href="#path4149"
+ inkscape:tiled-clone-of="#path4149"
+ y="0"
+ x="0" />
+ <use
+ height="1052.3622"
+ width="744.09448"
+ id="use4217"
+ transform="translate(25.000762,0)"
+ xlink:href="#path4149"
+ inkscape:tiled-clone-of="#path4149"
+ y="0"
+ x="0" />
+ <use
+ height="1052.3622"
+ width="744.09448"
+ id="use4219"
+ transform="translate(200.00613,0)"
+ xlink:href="#path4149"
+ inkscape:tiled-clone-of="#path4149"
+ y="0"
+ x="0" />
+ <g
+ id="g3120"
+ inkscape:tile-x0="63.635187"
+ inkscape:tile-y0="12.362183"
+ transform="matrix(0,1,-1,0,701.9863,132.73806)">
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -64.375877,-3.0137 0,175"
+ id="path3122"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <text
+ xml:space="preserve"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ x="-161.9863"
+ y="-69.375877"
+ id="text3124"
+ sodipodi:linespacing="125%"
+ transform="matrix(0,-1,1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan3126"
+ x="-161.9863"
+ y="-69.375877">span4_horz_0 .. span4_horz_47</tspan></text>
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3156"
+ d="m -9.375877,-3.0137 0,175"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -14.375877,-3.0137 0,175"
+ id="path3158"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3160"
+ d="m -19.375877,-3.0137 0,175"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -24.375877,-3.0137 0,175"
+ id="path3162"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3164"
+ d="m -29.375877,-3.0137 0,175"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -34.375877,-3.0137 0,175"
+ id="path3166"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3168"
+ d="m -39.375877,-3.0137 0,175"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -44.375877,-3.0137 0,175"
+ id="path3170"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3172"
+ d="m -49.375877,-3.0137 0,175"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -54.375877,-3.0137 0,175"
+ id="path3174"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3176"
+ d="m -59.375877,-3.0137 0,175"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3182"
+ d="m 0.778962,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 55.778962,-3.2662381 0,174.9999981"
+ id="path3184"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3186"
+ d="m 50.778962,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 45.778962,-3.2662381 0,174.9999981"
+ id="path3188"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3190"
+ d="m 40.778962,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 35.778962,-3.2662381 0,174.9999981"
+ id="path3192"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3194"
+ d="m 30.778963,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 25.778962,-3.2662381 0,174.9999981"
+ id="path3196"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3198"
+ d="m 20.778962,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 15.778962,-3.2662381 0,174.9999981"
+ id="path3200"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3202"
+ d="m 10.778962,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 5.778962,-3.2662381 0,174.9999981"
+ id="path3204"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 65.42872,-3.2662381 0,174.9999981"
+ id="path3206"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3208"
+ d="m 120.42872,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 115.42872,-3.2662381 0,174.9999981"
+ id="path3210"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3212"
+ d="m 110.42872,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 105.42872,-3.2662381 0,174.9999981"
+ id="path3214"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3216"
+ d="m 100.42872,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 95.42873,-3.2662381 0,174.9999981"
+ id="path3218"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3220"
+ d="m 90.42872,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 85.42872,-3.2662381 0,174.9999981"
+ id="path3222"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3224"
+ d="m 80.42872,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 75.42872,-3.2662381 0,174.9999981"
+ id="path3226"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3228"
+ d="m 70.42872,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3230"
+ d="m 130.8361,-3.5187762 0,174.9999962"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 185.8361,-3.5187762 0,174.9999962"
+ id="path3232"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3234"
+ d="m 180.8361,-3.5187762 0,174.9999962"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 175.8361,-3.5187762 0,174.9999962"
+ id="path3236"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3238"
+ d="m 170.8361,-3.5187762 0,174.9999962"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 165.8361,-3.5187762 0,174.9999962"
+ id="path3240"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3242"
+ d="m 160.83611,-3.5187762 0,174.9999962"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 155.8361,-3.5187762 0,174.9999962"
+ id="path3244"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3246"
+ d="m 150.8361,-3.5187762 0,174.9999962"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 145.8361,-3.5187762 0,174.9999962"
+ id="path3248"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3250"
+ d="m 140.8361,-3.5187762 0,174.9999962"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 135.8361,-3.5187762 0,174.9999962"
+ id="path3252"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3254"
+ d="m 223.62412,-3.0137 0,175"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <text
+ transform="matrix(0,-1,1,0,0,0)"
+ sodipodi:linespacing="125%"
+ id="text3256"
+ y="218.62411"
+ x="-161.9863"
+ style="font-size:8.57574844px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
+ xml:space="preserve"><tspan
+ y="218.62411"
+ x="-161.9863"
+ id="tspan3258"
+ sodipodi:role="line">span12_horz_0 .. span12_horz_23</tspan></text>
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 278.62412,-3.0137 0,175"
+ id="path3260"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3262"
+ d="m 273.62412,-3.0137 0,175"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 268.62412,-3.0137 0,175"
+ id="path3264"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3266"
+ d="m 263.62412,-3.0137 0,175"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 258.62412,-3.0137 0,175"
+ id="path3268"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3270"
+ d="m 253.62412,-3.0137 0,175"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 248.62412,-3.0137 0,175"
+ id="path3272"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3274"
+ d="m 243.62412,-3.0137 0,175"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 238.62412,-3.0137 0,175"
+ id="path3276"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3278"
+ d="m 233.62412,-3.0137 0,175"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 228.62412,-3.0137 0,175"
+ id="path3280"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 288.77896,-3.2662381 0,174.9999981"
+ id="path3282"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3284"
+ d="m 343.77896,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 338.77896,-3.2662381 0,174.9999981"
+ id="path3286"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3288"
+ d="m 333.77896,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 328.77896,-3.2662381 0,174.9999981"
+ id="path3290"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3292"
+ d="m 323.77896,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 318.77896,-3.2662381 0,174.9999981"
+ id="path3294"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3296"
+ d="m 313.77896,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 308.77896,-3.2662381 0,174.9999981"
+ id="path3298"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3300"
+ d="m 303.77896,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 298.77896,-3.2662381 0,174.9999981"
+ id="path3302"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path3304"
+ d="m 293.77896,-3.2662381 0,174.9999981"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:round;stroke-linejoin:miter;stroke-opacity:1" />
+ </g>
+ </g>
+</svg>