aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/var01/var03.vhdl
blob: 17998bba14048537367ba9125fd9374da8c54115 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;

entity var03 is
  port (mask : std_logic_vector (1 downto 0);
        a, b : std_logic_vector (15 downto 0);
        res : out std_logic_vector (15 downto 0));
end var03;

architecture behav of var03 is
begin
  process (all)
    variable t : std_logic_vector (15 downto 0) := (others => '0');
    variable hi, lo : integer;
  begin
    t := a;
    for i in 0 to 1 loop
      if mask (i) = '1' then
        lo := i * 8;
        hi := lo + 7;
        t (hi downto lo) := b (hi downto lo);
      end if;
    end loop;
    res <= t;
  end process;
end behav;