aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/var01/var01a.vhdl
blob: 7cb4018daafa678c65a1b8e664b5d6c63b06d5a5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;

entity var01a is
  port (clk : std_logic;
        mask : std_logic_vector (1 downto 0);
        val : std_logic_vector (7 downto 0);
        res : out std_logic_vector (7 downto 0));
end var01a;

architecture behav of var01a is
begin
  process (clk)
    variable hi, lo : natural;
  begin
    if rising_edge (clk) then
      for i in 0 to 1 loop
        if mask (i) = '1' then
          lo := i * 4;
          hi := lo + 3;
          res (hi downto lo) <= val (hi downto lo);
        end if;
      end loop;
    end if;
  end process;
end behav;