aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth80/test2.vhdl
blob: 473f67c748b65a82d4405d0ddc65436c34768a17 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity testcase is
    generic (
        init_bit : std_logic := '1'
    );
    port (o : out std_logic_vector (2 downto 0));
end testcase;

architecture rtl of testcase is
    -- assigning generic to multiple parts of std_logic_vector breaks ghdlsynth
    signal test_assign_vector : std_logic_vector(2 downto 0) := init_bit & "0" & init_bit;
begin
  o <= test_assign_vector;
end rtl;