aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth8/test2.vhdl
blob: dca1601bbd75ebd44753c37260123c2886b2ba25 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity test2 is
  port (led: out std_logic_vector (7 downto 0));
end test2;

architecture synth of test2 is

begin
  led(7) <= '0';
  led(6) <= '1';
--  led(5) <= '0';
--  led(3 downto 0) <= x"9";
end synth;