aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth58/repro1.vhdl
blob: 391017cf340bb6ef9139d5a3a89baff3f6b9cdec (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
  use ieee.std_logic_1164.all;

entity repro1 is
  generic (
    g : std_logic := '1'
  );
  port (
    i : in std_logic_vector(7 downto 0);
    o : out std_logic_vector(7 downto 0)
  );
end repro1;

architecture rtl of repro1 is
begin
  process (i)
    variable slv_out : std_logic_vector(7 downto 0);
  begin
    if g = '0' then
      slv_out := i;
    elsif g = '1' then
      slv_out := not i;
    end if;
    o <= slv_out;
  end process;
end rtl;