aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth50/slv_negation.vhdl
blob: 5161f963992d600d433610492f0f1563453175ed (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
  use ieee.std_logic_1164.all;

entity slv_negation is
  port (
    a : in std_logic_vector(7 downto 0);
    b : out std_logic_vector(7 downto 0)
  );
end slv_negation;

architecture rtl of slv_negation is
begin
  b <= not a(7 downto 0);
end rtl;