aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth48/test.vhdl
blob: d04d6673f817c8ba33aa95e1eb5ca5900b07a15d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;


entity test is
  port (
    Reset_n_i    : in  std_logic;
    Clk_i        : in  std_logic
  );
end entity test;


architecture beh of test is
begin

  default clock is rising_edge(Clk_i);
  restrict {Reset_n_i = '0'[*5]; Reset_n_i = '1'[+]}[*1];

end architecture beh;