aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth45/test.vhdl
blob: 6d495c826a79f83fedf1ba8da95461968cf4d937 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test is
end entity test;

architecture beh of test is

  type t_register is array(0 to 7) of std_logic_vector(7 downto 0);
  signal s_register : t_register;

begin

  -- the problem is the next line
  s_register  <= (others => (others => '0'));

end architecture beh;