blob: 8055fac85421577d86f3e2bff216d45482d8c831 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
|
library ieee;
use ieee.std_logic_1164.all;
entity testcase is
port(
data_in : in std_ulogic;
data_out : out std_ulogic
);
end entity testcase;
architecture behaviour of testcase is
begin
comb : process(all)
begin
data_out <= '1' when data_in = '0' else '0';
end process;
end architecture behaviour;
|