blob: 5c04e8d9a531f7c9ad7221c4a7a76c3883732c94 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
|
library ieee;
use ieee.std_logic_1164.all;
entity lut is port (
sel: in std_logic_vector (1 downto 0);
c: out std_logic);
end lut;
-- sel(1) sel(0) | c
-- 0 0 | 1
-- 0 1 | 0
-- 1 0 | 1
-- 1 1 | 0
architecture synth of lut is
begin
with sel select c <=
'1' when "00",
'0' when "01",
'1' when "10",
'0' when others;
end synth;
|