aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth115/tb_testcase.vhdl
blob: 6204471346dcac6c06aee07aceb572832248ea51 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
entity tb_testcase is
end tb_testcase;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_testcase is
  signal din  : std_logic_vector (3 downto 0);
  signal dout : std_logic_vector (1 downto 0);
begin
  dut: entity work.testcase
    port map (din, dout);

  process
  begin
    din <= "0001";
    wait for 1 ns;
    assert dout = "01" severity failure;

    din <= "0010";
    wait for 1 ns;
    assert dout = "10" severity failure;

    din <= "1010";
    wait for 1 ns;
    assert dout = "01" severity failure;

    din <= "1001";
    wait for 1 ns;
    assert dout = "00" severity failure;

    wait;
  end process;
end behav;