aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth104/tc1.v
blob: 200a2473b6e24534d6b7f3289a22f881bdf8b06b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
module tc1(input wire clk,
	   input wire [3:0] sel,
	   output reg 	    a,
	   output reg 	    b);
   always @(posedge clk) begin
      casex (sel)
	2'b10: begin
	   a <= 1;
	   b <= 0;
	end
	2'b0x:
	  a<= 0;
	2'b11:
	  b <= 1;
      endcase // casex (sel)
   end // always @ (posedge clk)
endmodule // tc1