aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth104/tb_testcase1.vhdl
blob: 15cd2f5071e7c84193a842cd754bc53272141dd4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
entity tb_testcase1 is
end tb_testcase1;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

architecture behav of tb_testcase1 is
  signal sel : unsigned (1 downto 0);
  signal det : std_logic;
begin
  dut: entity work.testcase1
    port map (sel, det);

  process
  begin
    sel <= "00";
    wait for 1 ns;
    assert det = '0' severity failure;

    sel <= "01";
    wait for 1 ns;
    assert det = '0' severity failure;

    sel <= "10";
    wait for 1 ns;
    assert det = '1' severity failure;

    sel <= "11";
    wait for 1 ns;
    assert det = '1' severity failure;

    wait;
  end process;
end behav;