aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/string01/tb_string01.vhdl
blob: e1b8d5ab9f089f2e85c77deb13b78a2b22cde7f0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity tb_string01 is
end tb_string01;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_string01 is
  signal a : std_logic;
begin
  dut: entity work.string01
    port map (a);

  process
  begin
    wait for 1 ns;
    assert a = '1' severity failure;

    wait;
  end process;
end behav;