aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/snsuns01/uunaries.vhdl
blob: 568dec09d2be2a76e848b22ff7f121a152d0d4b3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity unaries is
  port (
    l4 : std_logic_vector (3 downto 0);

    plus_v    : out std_logic_vector (3 downto 0);
    minus_v   : out std_logic_vector (3 downto 0);
    abs_v     : out std_logic_vector (3 downto 0));
end unaries;

library ieee;
use ieee.std_logic_unsigned.all;

architecture behav of unaries is
begin
  plus_v  <= +l4;
  --  Dummy for compatibility with signed operations.
  minus_v <= not l4;
  abs_v   <= +l4;
end behav;