aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/snsuns01/ushrs.vhdl
blob: f3539fe62fc41f1d1e301cdd662441e7c076bd48 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity shrs is
  port (
    l3 : std_logic_vector (2 downto 0);
    r4 : std_logic_vector (3 downto 0);

    shl_v3v4 : out std_logic_vector (2 downto 0);
    shr_v3v4 : out std_logic_vector (2 downto 0));
end shrs;

library ieee;
use ieee.std_logic_unsigned.all;

architecture behav of shrs is
begin
  shl_v3v4 <= shl(l3, r4);
  shr_v3v4 <= shr(l3, r4);
end behav;