aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/snsuns01/ucmplt.vhdl
blob: aa2f19bb385211f0ca39f413983494feca684676 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
library ieee;
use ieee.std_logic_1164.all;

entity cmplt is
  port (
    li : integer;
    ri : integer;
    l4 : std_logic_vector (3 downto 0);
    r3 : std_logic_vector (2 downto 0);

    lt_v4v3 : out boolean;
    lt_v4i  : out boolean;
    lt_iv3  : out boolean);
end cmplt;

library ieee;
use ieee.std_logic_unsigned.all;

architecture behav of cmplt is
begin
  lt_v4v3 <= l4 < r3;
  lt_v4i  <= l4 < ri;
  lt_iv3  <= li < r3;
end behav;