aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/snsuns01/tb_cmpgt.vhdl
blob: 8b10e6b239967728076b759ccdb676678c42d718 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity tb_cmpgt is
end;

architecture behav of tb_cmpgt is
  signal li : integer := 0;
  signal ri : integer := 0;
  signal l4 : std_logic_vector (3 downto 0) := "0000";
  signal r3 : std_logic_vector (2 downto 0) := "000";
  signal gt_v4v3 : boolean;
  signal gt_v4i :  boolean;
  signal gt_iv3 :  boolean;
begin

  dut: entity work.cmpgt
    port map (
      l4 => l4,
      r3 => r3,
      li => li,
      ri => ri,
      gt_v4v3 => gt_v4v3,
      gt_v4i  => gt_v4i,
      gt_iv3  => gt_iv3);

  process
  begin
    for i in -8 to 7 loop
      li <= i;
      l4 <= conv_std_logic_vector (i, 4);
      for j in -4 to 3 loop
        r3 <= conv_std_logic_vector (j, 3);
        ri <= j;
        wait for 1 ns;
        report "v4v3: " & integer'image(i) & " < " & integer'image(j) & " = "
          & boolean'image(gt_v4v3);
        report "v4i:  " & integer'image(i) & " < " & integer'image(j) & " = "
          & boolean'image(gt_v4i);
        report "iv3:  " & integer'image(i) & " < " & integer'image(j) & " = "
          & boolean'image(gt_iv3);
      end loop;
    end loop;
    wait;
  end process;
end behav;