aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/snsuns01/sunaries.vhdl
blob: 6df6a006307388bcbe819c098681d79b14f1fd5d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;

entity unaries is
  port (
    l4 : std_logic_vector (3 downto 0);

    plus_v    : out std_logic_vector (3 downto 0);
    minus_v   : out std_logic_vector (3 downto 0);
    abs_v     : out std_logic_vector (3 downto 0));
end unaries;

library ieee;
use ieee.std_logic_signed.all;

architecture behav of unaries is
begin
  plus_v  <= +l4;
  minus_v <= -l4;
  abs_v   <= abs l4;
end behav;