aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/snsuns01/smuls.vhdl
blob: fb063368ac844381764485abb14ce88e17acaad1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;

entity muls is
  port (
    l3 : std_logic_vector (2 downto 0);
    r4 : std_logic_vector (3 downto 0);

    mul_v3v4 : out std_logic_vector (6 downto 0));
end muls;

library ieee;
use ieee.std_logic_signed.all;

architecture behav of muls is
begin
  mul_v3v4 <= l3 * r4;
end behav;