aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/snsuns01/scmpne.vhdl
blob: fdaae03ff496d50bf4113f96f648653e7c401cdb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
library ieee;
use ieee.std_logic_1164.all;

entity cmpne is
  port (
    li : integer;
    ri : integer;
    l4 : std_logic_vector (3 downto 0);
    r3 : std_logic_vector (2 downto 0);

    ne_v4v3 : out boolean;
    ne_v4i  : out boolean;
    ne_iv3  : out boolean);
end cmpne;

library ieee;
use ieee.std_logic_signed.all;

architecture behav of cmpne is
begin
  ne_v4v3 <= l4 /= r3;
  ne_v4i  <= l4 /= ri;
  ne_iv3  <= li /= r3;
end behav;