aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/snsuns01/scmple.vhdl
blob: a2d6f95382c0d371f209a0cbeb91eb16153dc622 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
library ieee;
use ieee.std_logic_1164.all;

entity cmple is
  port (
    li : integer;
    ri : integer;
    l4 : std_logic_vector (3 downto 0);
    r3 : std_logic_vector (2 downto 0);

    le_v4v3 : out boolean;
    le_v4i  : out boolean;
    le_iv3  : out boolean);
end cmple;

library ieee;
use ieee.std_logic_signed.all;

architecture behav of cmple is
begin
  le_v4v3 <= l4 <= r3;
  le_v4i  <= l4 <= ri;
  le_iv3  <= li <= r3;
end behav;