aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/slice01/slice06.vhdl
blob: 58f1e6f3759f04ffffb6163e93b2606fcc0e1560 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity slice06 is
  port (clk : std_logic;
        dat : std_logic_vector (7 downto 0);
        mask : std_logic_vector (1 downto 0);
        res : out std_logic_vector (7 downto 0));
end;

architecture behav of slice06 is
  signal z : natural range 0 to 0;
  signal mem : std_logic_vector (7 downto 0);
begin
  z <= to_integer(unsigned(mask));

  process(clk)
    variable hi, lo : natural;
  begin
    if rising_edge (clk) then
      lo := z * 3;
      mem (lo + 7 downto lo) <= dat;
    end if;
  end process;

  res <= mem;
end behav;