aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/slice01/slice03.vhdl
blob: 0509efcbfa38007fa1360cd5123cf15761711395 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity slice03 is
  port (di : std_logic_vector(7 downto 0);
        do : out std_logic_vector (3 downto 0));
end slice03;

architecture behav of slice03 is
begin
  do <= di (7 downto 4)(7 downto 4);
end behav;