aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/slice01/slice01.vhdl
blob: 0aaeced748dcf8bdc472cd9f386621269f721de4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
library ieee;
use ieee.std_logic_1164.all;

entity slice01 is
  generic (w: natural := 4);
  port (rst : std_logic;
        clk : std_logic;
        di : std_logic;
        do : out std_logic_vector (w - 1 downto 0));
end slice01;

architecture behav of slice01 is
  signal r : std_logic_vector (w - 1 downto 0);
begin
  do <= r;
  
  process(clk)
  begin
    if rising_edge (clk) then
      if rst = '1' then
        r <= (others => '0');
      else
        r (w - 2 downto 0) <= r (w - 1 downto 1);
        r (w - 1) <= di;
      end if;
    end if;
  end process;
end behav;