aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/ret01/tb_ret03.vhdl
blob: 244fdd904ac0cbb7b0d9f47462d039327a35bddf (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
entity tb_ret03 is
end tb_ret03;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ret03 is
  signal d : std_logic_vector (7 downto 0);
  signal r : integer;
begin
  dut: entity work.ret03
    port map (d, r);

  process
  begin
    d <= x"01";
    wait for 1 ns;
    assert r = 0 severity failure;

    d <= x"1f";
    wait for 1 ns;
    assert r = 4 severity failure;

    d <= x"e2";
    wait for 1 ns;
    assert r = 7 severity failure;

    d <= x"00";
    wait for 1 ns;
    assert r = -1 severity failure;

    wait;
  end process;
end behav;