aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/ret01/tb_ret02.vhdl
blob: a9f56c4d1231ea928ab3e9adf8e8220c25254f86 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
entity tb_ret02 is
end tb_ret02;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ret02 is
  signal d : std_logic_vector (7 downto 0);
  signal r : integer;
begin
  dut: entity work.ret02
    port map (d, r);

  process
  begin
    d <= x"01";
    wait for 1 ns;
    assert r = 1 severity failure;

    d <= x"f1";
    wait for 1 ns;
    assert r = -1 severity failure;

    wait;
  end process;
end behav;