aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/ret01/ret01.vhdl
blob: 64e7319f1d4d7077fbaef7495cd9c1e765f3e42d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity ret01 is
  port (di : std_logic_vector (7 downto 0);
        res : out integer);
end ret01;

architecture behav of ret01 is
  function sign (v : std_logic_vector (7 downto 0)) return integer is
  begin
    if v (7) = '1' then
      return -1;
    else
      return 1;
    end if;
  end sign;
begin
  res <= sign (di);
end behav;