aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/rec01/tb_rec01.vhdl
blob: da9e0ff38345914207e87063c602999fc357989a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
entity tb_rec01 is
end tb_rec01;

library ieee;
use ieee.std_logic_1164.all;
use work.rec01_pkg.all;

architecture behav of tb_rec01 is
  signal inp : myrec;
  signal clk : std_logic;
  signal rst : std_logic;
  signal r : std_logic;
begin
  dut: entity work.rec01
    port map (inp => inp, clk => clk, rst => rst, o => r);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    rst <= '1';
    pulse;
    assert r = '1' severity failure;

    rst <= '0';
    inp <= (a => "0010", b => '1');
    pulse;
    assert r = '1' severity failure;

    rst <= '0';
    inp <= (a => "0001", b => '1');
    pulse;
    assert r = '0' severity failure;

    wait;
  end process;
end behav;