aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/rec01/pkg_rec01.vhdl
blob: ecf0006ed50f432d55e74b1a0239ef6bc800e4e5 (plain)
1
2
3
4
5
6
7
8
9
10
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

package rec01_pkg is
  type myrec is record
     a : unsigned (3 downto 0);
     b : std_logic;
  end record;
end rec01_pkg;