aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/psl01/property0.vhdl
blob: 14655365a1ab92d32544e8baf855ccd2c9bed3a5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity property0 is
 port (clk, rst: std_logic;
       cnt : out unsigned(3 downto 0));
end property0;

architecture behav of property0 is
 signal val : unsigned (3 downto 0);
 default clock is rising_edge(clk);
begin
 process(clk)
 begin
   if rising_edge(clk) then
     if rst = '1' then
       val <= (others => '0');
     else
       val <= val + 1;
     end if;
   end if;
 end process;
 cnt <= val;

 property prop is always {val = 8} |=> {val = 9} abort rst;

 assert prop;

end behav;