aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/psl01/cover3.vhdl
blob: 59b70d7b6e099021e89b45e6b6b351297ad8133a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity cover3 is
  port (
    rst_n_i     : in    std_logic;
    clk_i       : in    std_logic;
    led0      : out   std_logic
    );
end cover3;

architecture top of cover3 is
  signal led : std_logic_vector(7 downto 0);
  -- Although not expected (there should be rising_edge), this was crashing.
  default clock is clk_i;
begin
  led0 <= led(0);

  cover { led(0) = '1' };
end architecture top;