aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/psl01/cover1.vhdl
blob: 8512b3b1866247b14e7547a23d85d9a3961dfc99 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity cover1 is
 port (clk, rst: std_logic;
       cnt : out unsigned(3 downto 0));
end cover1;

architecture behav of cover1 is
 signal val : unsigned (3 downto 0);
begin
 process(clk)
 begin
   if rising_edge(clk) then
     if rst = '1' then
       val <= (others => '0');
     else
       val <= val + 1;
     end if;
   end if;
 end process;
 cnt <= val;

 --psl default clock is rising_edge(clk);
 --psl cover {val = 10};
end behav;