aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/pkg01/cmask.vhdl
blob: f2fe1a075ac9398495b312f0fb0e7ba2ca0646a7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity cmask is
  port (d : std_logic_vector (7 downto 0);
        o : out std_logic_vector (7 downto 0));
end cmask;

use work.pkg.all;

architecture behav of cmask is
begin
  o <= d and mask;
end behav;