aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/output01/output07.vhdl
blob: 081f8f0f951e342184becc8320896ba97a14fe99 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
use ieee.std_logic_1164.all;

entity output07 is
  port (clk : std_logic;
        i : std_logic;
        o : out std_logic_vector (1 downto 0) := "10");
end output07;

architecture behav of output07 is
begin
  process (clk)
  begin
    if rising_edge(clk) then
      o (0) <= i;
      o (1) <= not i;
    end if;
  end process;
end behav;