aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/output01/output06.vhdl
blob: 0ececa86f7e2c9a7ebe85ef25f39a67a790c3437 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;

entity output06 is
  port (i : std_logic;
        o : out std_logic_vector (3 downto 0));
end output06;

architecture behav of output06 is
  signal s : std_logic_vector(3 downto 0);
begin
  process (i)
  begin
    s(0) <= i;
    s (1) <= not i;
    s (3) <= i;
  end process;

  s (2) <= '0';

  o <= s;
end behav;