aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/oper02/urot01.vhdl
blob: e798e09dd98f80ae04ec846e3b29541876baf443 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
  use ieee.std_logic_1164.all;
  use ieee.numeric_std.all;

entity Urot01 is
  port(
    v    : in unsigned(7 downto 0);
    ro   : out unsigned(7 downto 0);
    lo   : out unsigned(7 downto 0)
  );
end Urot01;

architecture rtl of Urot01 is
begin
  ro <= v ror 1;
  lo <= v rol 1;
end rtl;