aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/oper01/tb_match01.vhdl
blob: e7c1a2b53733ff43f4cb51ee4282cf5ce7a75bf8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
entity tb_match01 is
end tb_match01;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_match01 is
  signal l  : std_ulogic_vector(11 downto 0);
  signal r  : boolean;
begin
  match01_1: entity work.match01
    port map (l, r);

  process
  begin
    l <= x"f00";
    wait for 1 ns;
    assert r severity failure;

    l <= x"ff0";
    wait for 1 ns;
    assert r severity failure;

    l <= x"ef0";
    wait for 1 ns;
    assert not r severity failure;

    wait;
  end process;
end behav;