aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/oper01/snum03.vhdl
blob: 90a3e97419d54cb6afa9e9a7f1743166cb8d8a0e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity snum03 is
  port (ok : out boolean);
end snum03;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

architecture behav of snum03 is
  --  add uns nat
  constant a1 : unsigned (7 downto 0) := x"1d";
  constant b1 : integer := 3;
  constant r1 : unsigned (7 downto 0) := a1 + b1;
  
  signal er1 : unsigned (7 downto 0) := x"20";
begin
--  ok <= r1 = x"20";
  ok <= r1 = er1;
end behav;