aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/oper01/snum01.vhdl
blob: b9dee13a49b1a932b98cdcd86299f2f701ecd902 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity snum01 is
  port (ok : out boolean);
end snum01;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

architecture behav of snum01 is
  --  add uns uns
  constant a : unsigned (7 downto 0) := x"1e";
  constant b : unsigned (3 downto 0) := x"2";
  constant r1 : unsigned (7 downto 0) := a + b;
  signal er1 : unsigned (7 downto 0);
begin
  er1 <= x"20";
--  ok <= r1 = x"20";
  ok <= r1 = er1;
end behav;