aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/oper01/match01.vhdl
blob: 7b4148fef0e5b0c8eff5001b588e278087809bfd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity match01 is
  port (v : std_ulogic_vector(11 downto 0);
        r : out boolean);
end match01;

architecture behav of match01 is
begin
  r <= std_match(v, "1111----0000");
end behav;